IEEE 1364-2001

Click here to purchase
Revision Standard – Superseded.Supersedes 1364-1995. The Verilog(R) Hardware Description Language (HDL) is defined in this standard. Verilog HDL is a formal notation intended for use in all phases of the creation of electronic systems. Because it is both machine readable and human readable,it supports the development,verification, synthesis,and testing of hardware designs; the communication of hardware design data; and the maintenance,modification,and procurement of hardware. The primary audiences for this standard are the implementors of tools supporting the language and advanced users of the language.

Product Details

Published:
09/28/2001
ISBN(s):
0738128260, 9780738128276
Number of Pages:
792
File Size:
1 file , 3.2 MB
Product Code(s):
STDSU94921